Title

Powering Up Dark Silicon: Mitigating the Limitation of Power Delivery via Dynamic Pin Switching

Document Type

Article

Publication Date

1-1-2015

Abstract

The end of Dennard scaling has led to a large amount of inactive or significantly underclocked transistors on modern chip multiprocessors in order to comply with the power budget and prevent the processors from overheating. This so-called dark silicon is one of the most critical constraints that will hinder the scaling with Moore's Law in future. While advanced cooling techniques, such as liquid cooling, can effectively decrease the chip temperature and alleviate the power constraints, the peak performance, determined by the maximum number of transistors, which are allowed to switch simultaneously, is still confined by the amount of power pins on the chip package. In this paper, we propose a novel mechanism to power up the dark silicon by dynamically switching a portion of I/O pins to power pins when off-chip communications are less frequent. By enabling extra cores or increasing processor frequency, the proposed strategy can significantly boost the performance compared with the traditional designs.

Publication Source (Journal or Book title)

IEEE Transactions on Emerging Topics in Computing

First Page

489

Last Page

501

This document is currently not available here.

COinS